The article titled, “Methodology for Structured Data Path Implementation in VLSI Physical Design: A Case Study” has been accepted for publication in MDPI Electronics (Advanced Design Techniques and EDA Methodologies for Analog, RF and MM-Wave Circuit Design) 2022.

Dhilleswararao Pudi, Samuel Harrison, Dimitrios Stathis, Srinivas Boppu, Ahmed Hemani, Linga Reddy Cenkeramaddi, “Methodology for Structured Data Path Implementation in VLSI Physical Design: A Case Study” has been accepted for publication in MDPI Electronics (Advanced Design Techniques and EDA Methodologies for Analog, RF and MM-Wave Circuit Design) 2022.

Keywords: data-path; placement; routing; innovus; electronic design automation; physical design

Abstract: State-of-the-art modern microprocessor and domain-specific accelerator designs are dominated by data-paths composed of regular structures, also known as bit-slices. Random logic placement and routing techniques may not result in an optimal layout for these data-path-dominated designs.As a result, implementation tools such as Cadence’s Innovus include a Structured Data-Path (SDP) feature that allows data-path placement to be completely customized by constraining the placement engine. A relative placement file is used to provide these constraints to the tool. However, the tool neither extracts nor automatically places the regular data-path structures. In other words, the relative placement file is not automatically generated. In this paper, we propose a semi-automated method for extracting bit-slices from the Innovus SDP flow. It has been demonstrated that the proposed method results in 17% less density or use for a pixel buffer design. At the same time, the other performance metrics are unchanged when compared to the traditional place and route flow.

More details: https://hdl.handle.net/11250/3031245

Leave a Reply

Your email address will not be published. Required fields are marked *